(资料图)
1、library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity trans38 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0) );end trans38;architecture dec_behave of trans38 is signal sel:std_logic_vector(3 downto 0);begin sel<=A&EN; with sel select Y<= "00000001" when "0001", "00000010" when "0011", "00000100" when "0101", "00001000" when "0111", "00010000" when "1001", "00100000" when "1011", "01000000" when "1101", "10000000" when "1111", "XXXXXXXX" when others;end dec_behave;。
本文分享完毕,希望对大家有所帮助。
关键词:
-
业之峰桥牌队又双叒叕拿冠军了!6月16-18日,浙江省第十七届南浔杯桥牌公开赛暨第二届水晶晶...
-
传谣者公开道歉,“DR钻戒购买记录可删”被证实是谣言很多正在崛起的品牌,都有过被频繁地造谣的经历。其中,DR钻...
-
台铃营销势能再加码:王一博成为台铃首位全球代言人6月20日,台铃集团正式官宣代言人,全能艺人王一博成为台铃品牌...
-
寻味成都之宫廷糕点--三十年老字号 成都人钟爱的味道说起成都,除了千年古城、神兽大熊猫、神奇川剧变脸 ...
-
王老吉药业携手大参林进行“包粽子 迎端午”活动端午节体现古代劳动人民集体智慧的结晶,寄托着人们美好的情...
- ipo上市是什么意思?ipo和直接上市有什么区别?
2023-06-21 11:47:33
- 出水芙蓉最佳买入形态?出水芙蓉上涨概率大吗?
2023-06-20 16:14:53
- visa信用卡是什么?不出国visa信用卡有什么用?
2023-06-16 16:10:22
- 跌停能卖出吗?涨停跌停还能交易吗?
2023-06-14 15:46:11
- 按揭转抵押有什么优缺点?按揭转抵押有什么风险?
2023-06-09 16:31:22
财经频道